summaryrefslogtreecommitdiff
path: root/basic/source
diff options
context:
space:
mode:
authorGabor Kelemen <kelemeng@ubuntu.com>2021-12-31 16:52:16 +0100
committerMiklos Vajna <vmiklos@collabora.com>2022-01-13 08:26:15 +0100
commit840b4eb2f3443ff883016e6a8a8ae49e9cbd9e4e (patch)
treea6de1d9baaa9f3e9532714e8105f73160fb1a555 /basic/source
parent8d2bf9b679dec223a78e1fd8f3684f76c5802bae (diff)
Recheck modules [a-c]* with IWYU
See tdf#42949 for motivation Change-Id: I74a3c3a67c3639376e2251c3eb917fa4139dfbd0 Reviewed-on: https://gerrit.libreoffice.org/c/core/+/127808 Tested-by: Jenkins Reviewed-by: Miklos Vajna <vmiklos@collabora.com>
Diffstat (limited to 'basic/source')
-rw-r--r--basic/source/classes/sbunoobj.cxx1
-rw-r--r--basic/source/comp/buffer.cxx1
-rw-r--r--basic/source/comp/codegen.cxx1
-rw-r--r--basic/source/inc/buffer.hxx2
-rw-r--r--basic/source/inc/namecont.hxx1
-rw-r--r--basic/source/runtime/inputbox.cxx2
-rw-r--r--basic/source/runtime/iosys.cxx1
-rw-r--r--basic/source/runtime/methods.cxx4
-rw-r--r--basic/source/runtime/methods1.cxx1
-rw-r--r--basic/source/runtime/props.cxx1
-rw-r--r--basic/source/sbx/sbxdbl.cxx1
-rw-r--r--basic/source/sbx/sbxint.cxx1
-rw-r--r--basic/source/sbx/sbxlng.cxx1
-rw-r--r--basic/source/sbx/sbxsng.cxx1
-rw-r--r--basic/source/sbx/sbxuint.cxx1
-rw-r--r--basic/source/sbx/sbxulng.cxx1
-rw-r--r--basic/source/sbx/sbxvar.cxx1
17 files changed, 3 insertions, 19 deletions
diff --git a/basic/source/classes/sbunoobj.cxx b/basic/source/classes/sbunoobj.cxx
index 362ea2d42898..97e217ca8ba5 100644
--- a/basic/source/classes/sbunoobj.cxx
+++ b/basic/source/classes/sbunoobj.cxx
@@ -20,7 +20,6 @@
#include <sal/config.h>
#include <o3tl/any.hxx>
-#include <osl/mutex.hxx>
#include <vcl/svapp.hxx>
#include <vcl/errcode.hxx>
#include <svl/hint.hxx>
diff --git a/basic/source/comp/buffer.cxx b/basic/source/comp/buffer.cxx
index 07d1d85e53ee..ff6b5173f3fd 100644
--- a/basic/source/comp/buffer.cxx
+++ b/basic/source/comp/buffer.cxx
@@ -18,6 +18,7 @@
*/
#include <buffer.hxx>
+#include <basic/sberrors.hxx>
namespace
{
diff --git a/basic/source/comp/codegen.cxx b/basic/source/comp/codegen.cxx
index 3442202a8ba1..e2f675a369de 100644
--- a/basic/source/comp/codegen.cxx
+++ b/basic/source/comp/codegen.cxx
@@ -29,7 +29,6 @@
#include <cstddef>
#include <limits>
#include <algorithm>
-#include <string_view>
#include <osl/diagnose.h>
#include <rtl/ustrbuf.hxx>
#include <com/sun/star/script/ModuleType.hpp>
diff --git a/basic/source/inc/buffer.hxx b/basic/source/inc/buffer.hxx
index 3115a01a6c98..e56d60b6c615 100644
--- a/basic/source/inc/buffer.hxx
+++ b/basic/source/inc/buffer.hxx
@@ -19,9 +19,9 @@
#pragma once
-#include <basic/sberrors.hxx>
#include <rtl/ustring.hxx>
#include <sal/types.h>
+#include <vcl/errcode.hxx>
#include <vector>
// Stores all numbers big endian
diff --git a/basic/source/inc/namecont.hxx b/basic/source/inc/namecont.hxx
index 11c5febe0a04..5635c91144e0 100644
--- a/basic/source/inc/namecont.hxx
+++ b/basic/source/inc/namecont.hxx
@@ -51,7 +51,6 @@
#include <rtl/ref.hxx>
#include <comphelper/interfacecontainer3.hxx>
#include <xmlscript/xmllib_imexp.hxx>
-#include <comphelper/interfacecontainer3.hxx>
class BasicManager;
diff --git a/basic/source/runtime/inputbox.cxx b/basic/source/runtime/inputbox.cxx
index 36d604298bad..2e154d0e0027 100644
--- a/basic/source/runtime/inputbox.cxx
+++ b/basic/source/runtime/inputbox.cxx
@@ -19,9 +19,9 @@
#include <basic/sberrors.hxx>
#include <tools/lineend.hxx>
+#include <vcl/outdev.hxx>
#include <vcl/svapp.hxx>
#include <vcl/weld.hxx>
-#include <vcl/window.hxx>
#include <rtlproto.hxx>
#include <memory>
diff --git a/basic/source/runtime/iosys.cxx b/basic/source/runtime/iosys.cxx
index 43ee1c4d6856..705905acfce7 100644
--- a/basic/source/runtime/iosys.cxx
+++ b/basic/source/runtime/iosys.cxx
@@ -20,7 +20,6 @@
#include <string.h>
#include <vcl/svapp.hxx>
#include <vcl/weld.hxx>
-#include <vcl/window.hxx>
#include <osl/file.hxx>
#include <runtime.hxx>
diff --git a/basic/source/runtime/methods.cxx b/basic/source/runtime/methods.cxx
index 7e9a1adcccd7..2361466ea5e3 100644
--- a/basic/source/runtime/methods.cxx
+++ b/basic/source/runtime/methods.cxx
@@ -24,7 +24,6 @@
#include <basic/sbuno.hxx>
#include <osl/process.h>
#include <vcl/dibtools.hxx>
-#include <vcl/window.hxx>
#include <vcl/svapp.hxx>
#include <vcl/settings.hxx>
#include <vcl/sound.hxx>
@@ -68,7 +67,6 @@
#include <o3tl/char16_t2wchar_t.hxx>
// include search util
-#include <com/sun/star/util/SearchFlags.hpp>
#include <com/sun/star/util/SearchAlgorithms2.hpp>
#include <i18nutil/searchopt.hxx>
#include <unotools/textsearch.hxx>
@@ -109,8 +107,6 @@ using namespace com::sun::star::uno;
#include <unistd.h>
#endif
-#include <com/sun/star/i18n/XCharacterClassification.hpp>
-#include <vcl/unohelp.hxx>
#include <vcl/TypeSerializer.hxx>
#if HAVE_FEATURE_SCRIPTING
diff --git a/basic/source/runtime/methods1.cxx b/basic/source/runtime/methods1.cxx
index e1f68b950262..16104540ba96 100644
--- a/basic/source/runtime/methods1.cxx
+++ b/basic/source/runtime/methods1.cxx
@@ -24,7 +24,6 @@
#include <cstddef>
-#include <stdlib.h>
#include <rtl/math.hxx>
#include <vcl/svapp.hxx>
#include <vcl/mapmod.hxx>
diff --git a/basic/source/runtime/props.cxx b/basic/source/runtime/props.cxx
index f3d84a0127b5..aa0a9e1c9d85 100644
--- a/basic/source/runtime/props.cxx
+++ b/basic/source/runtime/props.cxx
@@ -21,7 +21,6 @@
#include <runtime.hxx>
#include <rtlproto.hxx>
#include <errobject.hxx>
-#include <basegfx/numeric/ftools.hxx>
// Properties and methods lay the return value down at Get (bWrite = sal_False)
diff --git a/basic/source/sbx/sbxdbl.cxx b/basic/source/sbx/sbxdbl.cxx
index 84b5403c3f10..aca18b3197e3 100644
--- a/basic/source/sbx/sbxdbl.cxx
+++ b/basic/source/sbx/sbxdbl.cxx
@@ -19,7 +19,6 @@
#include <config_features.h>
-#include <o3tl/float_int_conversion.hxx>
#include <vcl/errcode.hxx>
#include "sbxconv.hxx"
#include <rtlproto.hxx>
diff --git a/basic/source/sbx/sbxint.cxx b/basic/source/sbx/sbxint.cxx
index f702c6b1fb3a..432aaf9b9cad 100644
--- a/basic/source/sbx/sbxint.cxx
+++ b/basic/source/sbx/sbxint.cxx
@@ -19,7 +19,6 @@
#include <sal/config.h>
-#include <o3tl/float_int_conversion.hxx>
#include <o3tl/safeint.hxx>
#include <vcl/errcode.hxx>
#include <basic/sberrors.hxx>
diff --git a/basic/source/sbx/sbxlng.cxx b/basic/source/sbx/sbxlng.cxx
index 1bc4e4e6669c..7011a13b0da8 100644
--- a/basic/source/sbx/sbxlng.cxx
+++ b/basic/source/sbx/sbxlng.cxx
@@ -19,7 +19,6 @@
#include <sal/config.h>
-#include <o3tl/float_int_conversion.hxx>
#include <vcl/errcode.hxx>
#include <basic/sberrors.hxx>
#include "sbxconv.hxx"
diff --git a/basic/source/sbx/sbxsng.cxx b/basic/source/sbx/sbxsng.cxx
index bdcc4b139f36..2353968d2fde 100644
--- a/basic/source/sbx/sbxsng.cxx
+++ b/basic/source/sbx/sbxsng.cxx
@@ -19,7 +19,6 @@
#include <sal/config.h>
-#include <o3tl/float_int_conversion.hxx>
#include <vcl/errcode.hxx>
#include <basic/sberrors.hxx>
#include "sbxconv.hxx"
diff --git a/basic/source/sbx/sbxuint.cxx b/basic/source/sbx/sbxuint.cxx
index 6299612b500f..1a8013c1cc4b 100644
--- a/basic/source/sbx/sbxuint.cxx
+++ b/basic/source/sbx/sbxuint.cxx
@@ -19,7 +19,6 @@
#include <sal/config.h>
-#include <o3tl/float_int_conversion.hxx>
#include <vcl/errcode.hxx>
#include <basic/sberrors.hxx>
#include "sbxconv.hxx"
diff --git a/basic/source/sbx/sbxulng.cxx b/basic/source/sbx/sbxulng.cxx
index a0db33f80abd..a14f3b028712 100644
--- a/basic/source/sbx/sbxulng.cxx
+++ b/basic/source/sbx/sbxulng.cxx
@@ -19,7 +19,6 @@
#include <sal/config.h>
-#include <o3tl/float_int_conversion.hxx>
#include <vcl/errcode.hxx>
#include <basic/sberrors.hxx>
#include "sbxconv.hxx"
diff --git a/basic/source/sbx/sbxvar.cxx b/basic/source/sbx/sbxvar.cxx
index d2c9802c413b..8fb11bf9f2a2 100644
--- a/basic/source/sbx/sbxvar.cxx
+++ b/basic/source/sbx/sbxvar.cxx
@@ -28,7 +28,6 @@
#include "sbxconv.hxx"
#include <rtlproto.hxx>
#include <sbunoobj.hxx>
-#include <rtl/character.hxx>
#include <rtl/ustrbuf.hxx>
#include <sal/log.hxx>