summaryrefslogtreecommitdiff
path: root/sal/qa/rtl/uuid/rtl_Uuid.cxx
diff options
context:
space:
mode:
Diffstat (limited to 'sal/qa/rtl/uuid/rtl_Uuid.cxx')
-rw-r--r--sal/qa/rtl/uuid/rtl_Uuid.cxx3
1 files changed, 0 insertions, 3 deletions
diff --git a/sal/qa/rtl/uuid/rtl_Uuid.cxx b/sal/qa/rtl/uuid/rtl_Uuid.cxx
index 7f1412357229..2ceef782385b 100644
--- a/sal/qa/rtl/uuid/rtl_Uuid.cxx
+++ b/sal/qa/rtl/uuid/rtl_Uuid.cxx
@@ -35,9 +35,6 @@
#include <time.h>
#endif
-using ::rtl::OUString;
-using ::rtl::OUStringToOString;
-using ::rtl::OString;
namespace rtl_Uuid
{