summaryrefslogtreecommitdiff
path: root/svtools
AgeCommit message (Expand)Author
2018-01-15More loplugin:cstylecast: svtoolsStephan Bergmann
2018-01-12More loplugin:cstylecast: svtoolsStephan Bergmann
2018-01-11Replace macro with functionStephan Bergmann
2018-01-11[API CHANGE] migrate PackageKit usage to Modify2Caolán McNamara
2018-01-11tdf#36308 make double click not expand/collapse node in Navigator treeJim Raykowski
2018-01-11Modernize a bit svtoolsJulien Nabet
2018-01-11Optimize a bit fileview.cxx (svtools)Julien Nabet
2018-01-11convert (a>b?a:b) to std::max(a,b)Noel Grandin
2018-01-07uitest: add more properties to SvSimpleTableContainer state mapMarkus Mohrhard
2018-01-07uitest: support selecting and deselecting of tree list entriesMarkus Mohrhard
2018-01-07SAL_WARN when using the interal GraphicObject URLTomaž Vajngerl
2018-01-07svtools: Simplify TabBar_Impl with std::unique_ptrTakeshi Abe
2018-01-06svtools: Simplify HeaderBar with std::unique_ptrTakeshi Abe
2018-01-05tdf#114837 FILEOPEN: Image is blankNoel Grandin
2018-01-05lokdialog: Use only one of the installed langpacks when setting locale.Jan Holesovsky
2018-01-04drop OAddressBookSourceDialogUnoBase typedefNoel Grandin
2018-01-03Translate German comments and debug stringsJohnny_M
2018-01-02Treat OOO_VENDOR as (non-Unicode) plain char stringJan-Marek Glogowski
2018-01-02RID_* can be extern global variablesNoel Grandin
2017-12-29Fix typosAndrea Gelmini
2017-12-27svtools: Simplify DoubleNumericField with std::unique_ptrTakeshi Abe
2017-12-26svtools: Use std::unique_ptr for LineListBoxTakeshi Abe
2017-12-26loplugin:passstuffbyref improved return in canvas and svtoolsNoel Grandin
2017-12-25coverity#1426923 Pointer to local outside scopeCaolán McNamara
2017-12-23svtools: Use std::unique_ptr for SvImpLBoxTakeshi Abe
2017-12-22lokdialog: Allow switching language of some of the ResMgr's.Jan Holesovsky
2017-12-22request installation of langpack via packagekitCaolán McNamara
2017-12-20tdf#79077: Cleanup PapersizeFromSetup related codeKatarina Behrens
2017-12-19wrap scoped enum around css::util::NumberFormatNoel Grandin
2017-12-19drop last CONTAINER_APPEND useCaolán McNamara
2017-12-19svtools: Use std::unique_ptr for GraphicCacheEntryTakeshi Abe
2017-12-18convert ColorPickerMode to scoped enumNoel Grandin
2017-12-17Fix typosAndrea Gelmini
2017-12-14sal_uIntPtr->sal_Int32 in MultiSelectionNoel Grandin
2017-12-14Related: tdf#114428 svtools HTML import: avoid XML declaration in body textMiklos Vajna
2017-12-13tools: reimplement SVLIBRARY macro & remove it from solar.hMichael Stahl
2017-12-13tools: remove unused #include from solar.hMichael Stahl
2017-12-13convert tolerance params to sal_uInt8Noel Grandin
2017-12-12ensure dec ref if exception calledCaolán McNamara
2017-12-12svtools: Simplify BrowserDataWin with std::unique_ptrTakeshi Abe
2017-12-11loplugin:salcall fix functionsNoel Grandin
2017-12-09svtools: Simplify ImageMap with std::unique_ptrTakeshi Abe
2017-12-08loplugin:salcall vcl builder methodsNoel Grandin
2017-12-06Remove unused #include <vcl/metaact.hxx> from vcl/outdev.hxxStephan Bergmann
2017-12-05loplugin:salcall fix non-virtual methodsNoel Grandin
2017-12-04Add Plautdietsch [pdt-CA] to language listboxEike Rathke
2017-12-04new loplugin salcall: remove unnecessary SAL_CALLNoel Grandin
2017-12-01tdf#102160 make 'Other CMIS' string localizableAndras Timar
2017-12-01tdf#114098 Show vertical scrollbarXisco Fauli
2017-11-30tdf#114124 Translate 'PNG Bitmap' string in Calc Paste dropdownGabor Kelemen